VHDL開発ツール(QuartusU)の使用法 (その7)

− FPGAデバイスの設定と入出力ピンの配置 −


信州大学工学部 井澤裕司

(ver.2 2007.5.7)

本資料では,ALTERA社が提供しているVHDL開発ツール
QuartusU Web Editon の使用法を解説します.
本章では,
  「FPGAデバイスの設定」
と,
  「入出力ピンの配置」
を行う方法について説明します.

なお,QuartusUには多くの機能が用意されており,それらをこのコンテンツの中で紹介することはできません.
詳細は,QuartusUのHELP等を参照して下さい.


ステップ1. FPGAデバイスの設定 @.

使用する「 FPGAデバイス 」 を設定するため, メニューの 「 Assignments 」 から 「 Device 」 を選択します.




ステップ2. FPGAデバイスの設定 A.

使用する「 FPGAデバイス 」 を選択します.
今回は,「 Family 」 には 「 FLEX10KE 」, 「 Device 」 には 「 EPF10K30EQC208-3 」 を設定します.

設定後,「 OK 」をクリックします.
  

ステップ3.   入出力ピンの配置 @.

FPGAデバイス 」 を選択した後,メニューの 「 Assignments 」 から 「 Pins 」 を選択します.



ステップ4. 入出力ピンの配置 A.

Node Name 」 の欄に 「 入出力のノード名 」 が自動的に表示されますので,「 Location 」 の例えば 「 A 」 にマウスのカーソルを合わせ 「 左クリック 」 します.

なお,「 入出力のノード名 」 が表示されない場合は, 「 リストの右上 」 にある「 Filters 」 の項目を, 「 Pins: all 」 に設定して下さい.




ステップ5. 入出力ピンの配置 B.

Location 」 の欄に,図のような「 プルダウンメニュー 」 が開きますので,「 使用するFPGAデバイス 」 の「 仕様書 」 を参照して,
使用する「 ピン番号 」 を選択します.




ステップ6. 入出力ピンの配置 C.

例えば入力の 「 A 」 に, 「 PIN_100 」 を設定します.
なお,「 設定したピン番号 」 が「 使用できない 」 場合は,「 エラーメッセージ 」 が表示されます.




ステップ7. 入出力ピンの配置 D.

同様にして,「 すべての入出力ピン 」 に,適切な 「 ピン番号 」 を設定します.




まとめ

本章では,「 FPGAデバイスの設定方法 」 と「 入出力ピンの設定方法 」 について説明しました.