VHDLについて
− プロセス文とタイミング −
井澤 裕司
(H19.5.23)
1. 同時処理文と順次処理文.
entity inverter is port ( A : in std_logic; B : out std_logic; C : out std_logic ); end inverter;
architecture Dataflow of inverter is begin B <= A; 同時処理文 C <= B; 同時処理文 end Dataflow;
B <= A after 5ns; | ||||||||
C <= B after 10ns; |
2. プロセス文について.
signal TMP : std_logic_vector(3 downto 0); process (CLK) | begin | if (CLK'event and CLK = '1') then | if TMP = "1001" then | TMP <= "0000" ; | 同時処理文 else | TMP <= TMP + 1; | end if ; | end if ; | end process ; | COUNT <= TMP; 同時処理文 end Behavior;
signal TMP : std_logic_vector(3 downto 0); COUNT <= TMP; 同時処理文 process (CLK) | begin | if (CLK'event and CLK = '1') then | if TMP = "1001" then | TMP <= "0000" ; | 同時処理文 else | TMP <= TMP + 1; | end if ; | end if ; | end process; | end Behavior;
3. Behavior レベルと Register Transfer レベル.
4. プロセス文による組合せ回路.
5. 信号と変数について.
VHDL 時間的要素 代入表現 意 味 信号 signal あり <= ハードウェア的 変数 variable なし(中間値) := ソフトウェア的
6. まとめ.